iodolo_bg

iimveliso

5CEFA5F23I7N Cyclone® VE Field Programmable Gate Array (FPGA) IC 240 5001216 77000 484-BGA

inkcazelo emfutshane:

Izixhobo zeCyclone® V ziyilelwe ukuba ngaxeshanye zivumelane nokuncipha kokusetyenziswa kwamandla, iindleko, kunye neemfuno zexesha lokuya kwintengiso;kunye neemfuneko ezikhulayo ze-bandwidth ye-volume ephezulu kunye nezicelo ezingabizi kakhulu.Ukuphuculwa kunye ne-transceivers edibeneyo kunye nabalawuli beememori ezinzima, izixhobo ze-Cyclone V zifanelekile kwizicelo kwi-industrial, i-wireless kunye ne-wireline, umkhosi, kunye neemarike zeemoto.

Iinkcukacha zeMveliso

Iithegi zeMveliso

Iimpawu zeMveliso

UHLOBO UMZEKELISO
udidi I-Field Programmable Gate Arrays (FPGAs)
umenzi Intel
uthotho Cyclone® VE
usonga itreyi
Ubume bemveliso Iyasebenza
I-DigiKey iyacwangciswa Ayingqinwanga
Inombolo ye-LAB/CLB 29080
Inani lengqiqo/iiyunithi 77000
Lilonke inani le-RAM bits 5001216
I/O 數 240
Umbane - Ubonelelo lwamandla 1.07V~1.13V
Uhlobo lofakelo Uhlobo lokuncamathelisa ubuso
Ubushushu bokusebenza -40°C ~ 100°C(TJ)
Ukupakishwa/Izindlu 484-BGA
Ukufakwa kwecandelo lomthengisi 484-FBGA (23x23)
Inombolo master Product 5CEFA5

Intshayelelo yeMveliso

Izixhobo zeCyclone® V ziyilelwe ukuba ngaxeshanye zivumelane nokuncipha kokusetyenziswa kwamandla, iindleko, kunye neemfuno zexesha lokuya kwintengiso;kunye neemfuneko ezikhulayo ze-bandwidth ye-volume ephezulu kunye nezicelo ezingabizi kakhulu.Ukuphuculwa kunye ne-transceivers edibeneyo kunye nabalawuli beememori ezinzima, izixhobo ze-Cyclone V zifanelekile kwizicelo kwi-industrial, i-wireless kunye ne-wireline, umkhosi, kunye neemarike zeemoto.

Iimpawu zeMveliso

Iteknoloji

  • Itekhnoloji yenkqubo ye-TSMC ye-28-nm yamandla aphantsi (28LP).
  • I-1.1 V yombane ongundoqo
Ukupakishwa
  • Iiphakheji ze-Wirebond low-halogen
  • Uxinaniso lwezixhobo ezininzi ezinemizila yeenyawo yephakheji ehambelanayo yofuduko olungenamthungo phakathi koxinano lwezixhobo ezahlukeneyo
  • I-RoHS-ehambelanayo kunye neendlela ezikhokelayo
Ilaphu leFPGA elisebenza kakhulu
  • Uphuculo lwe-ALM ye-8 yegalelo kunye neerejista ezine
Iibhloko zememori yangaphakathi
  • I-M10K—i-10-kilobits (Kb) iibhloko zememori ezinekhowudi ethambileyo yokulungisa iimpazamo (ECC)
  • Ibhlokhi yoluhlu lwenkumbulo (MLAB)—i-640-bit esasaziweyo ye-LUTRAM apho ungasebenzisa ukuya kuthi ga kwi-25% yee-ALM njengememori ye-MLAB
Iibhloko ezizinziselwe Hard IP
 
  • Inkxaso yoMthonyama ukuya kuthi ga kumanqanaba amathathu okwenziwa kwemiqondiso echanekileyo (ezintathu 9 x 9, ezimbini 18 x 18, okanye enye 27 x 27 yophindaphinda) kwibhloko efanayo yeDSP echanekileyo.
  • I-64-bit accumulator kunye ne-cascade
  • Imemori ye-coefficient yangaphakathi elungisiweyo
  • I-Preadder/i-subtractor yokuphucula ukusebenza kakuhle
  • I-DDR3, i-DDR2, kunye ne-LPDDR2 ene-16 kunye ne-32 bit inkxaso ye-ECC
  • PCI Express* (PCIe*) Gen2 kunye neGen1 (x1, x2, okanye x4) i-IP enzima enenkxaso yemisebenzi emininzi, isiphelo, kunye neengcambu zezibuko.
Uqwalaselo
  • ukhuseleko lwe-amper-ukhuseleko loyilo olubanzi ukukhusela utyalo-mali lwakho lwe-IP oluxabisekileyo
  • Umgangatho ophuculweyo woguqulelo oluntsonkothileyo (AES) uyilo lweempawu zokhuseleko
  • CvP
  • Uqwalaselo ngokutsha olunamandla lweFPGA
  • Iseriyali esebenzayo (AS) x1 kunye ne-x4, i-passive serial (PS), i-JTAG, kunye ne-fast passive parallel (FPP) x8 kunye ne-x16 iinketho zoqwalaselo.
  • Ukukhuhlwa kwangaphakathi (2)
  • Uhlengahlengiso olungaphelelanga (3)

  • Ngaphambili:
  • Okulandelayo:

  • Bhala umyalezo wakho apha kwaye uwuthumele kuthi