iodolo_bg

iimveliso

I-DS90UB936TRGZTQ1 S VQFN-64 UB947Q Isinxibelelanisi se-IC chip

inkcazelo emfutshane:

I-interface yesekethe inemisebenzi elandelayo

Cwangcisa ukugcinwa kwedatha kunye nengqiqo ye-buffer ukulungelelanisa isantya phakathi kwe-CPU kunye nesixhobo sangaphandle, i-interface idla ngokuqulunqwa ngamanani eerejista okanye ii-chips ze-RAM, nje ukuba ezi chips zikhulu ngokwaneleyo, zinokugqithiswa kwedatha yebhetshi;


Iinkcukacha zeMveliso

Iithegi zeMveliso

Iimpawu zeMveliso

UHLOBO INKCAZO
Udidi IiSekethe eziDityanisiweyo (ICs)
  Ujongano-Izilandeleli, iiDeserializers
Mfr Texas Instruments
Uthotho Iimoto, AEC-Q100
Iphakheji Iteyiphu & neReel (TR)
  Sika iTape (CT)
Ubume benxalenye Iyasebenza
Umsebenzi Isiliyeli
Data Rate 3.36Gbps
Uhlobo Longeniso I-LVDS
Uhlobo Lwemveliso FPD-Link III, LVDS
Inani lamagalelo 8
Inani leZiphumo 2
Voltage - Unikezelo 1.71V ~ 1.89V
Ubushushu bokusebenza ngaphantsi kwe-zero 40°C ~ 105°C (TJ)
Uhlobo lokuNqamisa INtaba engaphezulu
Ipakethe / Ityala I-64-VFQFN eveziweyo yePad
Supplier Device Package 64-VQFN (9x9)
Inombolo yeMveliso esisiseko I-DS90UB947

Uhlobo lweSekethe eDityanisiweyo

Uguqulo lweefomathi zolwazi, ezifana noguqulelo olulandelelanayo nolunxuseneyo;

Ukukwazi ukulungelelanisa uhlobo kunye nokwahlukana kwenqanaba phakathi kwe-CPUS kunye neeperipherals kulwazi.Umzekelo, abaqhubi benguqu abathe tye, abaguquleli be-d/A okanye i-A/D, njl.

Ukulungelelanisa iiyantlukwano zexeshana

Ukukhethwa kweekhowudi kunye nomsebenzi wokhetho

Cwangcisa ukuphazamisa kunye nengqiqo yokulawula i-DMA ukuqinisekisa ukuba ukuphazamisa kunye nezibonakaliso zesicelo se-DMA ziveliswa ngemvume ye-DMA, kwaye ukuphazamisa inkqubo kunye nokudluliselwa kwe-DMA kugqitywe emva kokuphazamiseka kunye nempendulo ye-DMA yamkelwe.

I-input/output interface yi-electronic circuit, ngokuqhelekileyo i-IC chip okanye ibhodi ye-interface, equka iirejista ezikhethekileyo kunye neesekethe zokulawula ezihambelanayo.Yeyona ndlela iphakathi kunye nebhulorho yotshintshiselwano lolwazi phakathi kwe-CPU kunye nezixhobo zokufaka/imveliso.I-CONNECTION phakathi kwe-CPU kunye nezixhobo zangaphandle, imemori kunye notshintshiselwano lwedatha kufuneka lugqitywe ngesixhobo esibonakalayo, yangaphambili ibizwa ngokuba yi-interface ye-I / O, le yokugqibela ibizwa ngokuba yi-memory interface.Imemori ngokuqhelekileyo ilawulwa yi-synchronous yi-CPU, i-interface yesekethe ilula;Isekethe ye-interface iyahluka ngokohlobo lwesixhobo se-I / O, ngoko i-interface ibizwa ngokuba yi-interface ye-I / O.Kukho ubukhulu becala obulandelayo I/O ihardware yojongano

Kukho iindlela ezimbini zokudityaniswa kwezixhobo ze-silicon-based photonic kunye neesekethe ze-CMOS.

Inzuzo yangaphambili kukuba izixhobo zefotonic kunye nezixhobo zombane zinokuphuculwa ngokwahlukileyo, kodwa ukupakishwa okulandelayo kunzima kwaye izicelo zorhwebo zilinganiselwe.Le yokugqibela inzima ukuyila kunye nokuqhuba ukuhlanganiswa kwezi zixhobo zimbini.Okwangoku, indibano ye-hybrid esekwe kuhlanganiso lwamasuntswana enyukliya lolona khetho lulungileyo

Umzekelo 1.I-chip yojongano lwegalelo/imveliso

Uninzi lwee-chips zizisekethe ezidibeneyo, zifaka imiyalelo eyahlukeneyo kunye neeparameters nge-CPU, kwaye zilawula isekethe ye-I / O efanelekileyo kunye nezixhobo ezilula zangaphandle zokusebenza ezihambelanayo, iichips eziqhelekileyo ezihambelanayo zinexesha / ikhawunta, isilawuli esiphazamisayo, isilawuli se-DMA, i-parallel interface kunye nokunye. kwi.

Umzekelo 2. Ikhadi lokulawula igalelo/imveliso

Ngokuxhomekeke kwingqiqo ethile, iisekethe ezininzi ezidityanisiweyo zinokuba yinxalenye enye, nokuba ziqhagamshelwe ngokuthe ngqo kwi-CPU, okanye iiplagi zinokufakwa kwiindawo zokubeka kwisistim.


  • Ngaphambili:
  • Okulandelayo:

  • Bhala umyalezo wakho apha kwaye uwuthumele kuthi