iodolo_bg

iimveliso

XC7VX485T-1FFG1157I XC7A200T2FBG484I XCVU9P-1FLGA2104E XC4013E-3PG223I IC Chip Brand New Electronic Component

inkcazelo emfutshane:


Iinkcukacha zeMveliso

Iithegi zeMveliso

Iimpawu zeMveliso

UHLOBO INKCAZO
Udidi IiSekethe eziDityanisiweyo (ICs)

Ifakwe

FPGAs (Field Programmable Gate Array)

Mfr AMD Xilinx
Uthotho Virtex®-7 XT
Iphakheji Itreyi
Ubume beMveliso Iyasebenza
Inani le-LABs/CLBs 37950
Inani leLogic Elements/Seli 485760
Iyonke iBits RAM 37969920
Inani le-I/O 600
Umbane – Ubonelelo 0.97V ~ 1.03V
Uhlobo lokuNqamisa INtaba engaphezulu
Ubushushu bokusebenza -40°C ~ 100°C (TJ)
Ipakethe / Ityala 1156-BBGA, FCBGA
Supplier Device Package 1157-FCBGA (35×35)
Inombolo yeMveliso esisiseko XC7VX485

Amaxwebhu & nemidiya

UHLOBO LWEZIBONELELO LINK
Amaxwebhu edatha Virtex-7 T / XT FPGA Datasheet

7 Series FPGA Overview

Virtex-7 FPGAs emfutshane

IiModyuli zoQeqesho lweMveliso Powering Series 7 Xilinx FPGAs kunye TI Power Management Solutions
Ulwazi lokusingqongileyo I-Xilinx REACH211 Cert

Isiqinisekiso se-Xiliinx RoHS

Imveliso ekhoyo Iibhodi zoPhuhliso ze-Virtex®-7 FPGA
Uyilo lwePCN/Inkcazo IMult Dev Material Chg 16/Dec/2019

Imveliso yokuMakisha iChg 31/Oct/2016

Ukupakishwa kwePCN Izixhobo ezininzi nge-26/Juni/2017

Ulwahlulo lokusiNgqongileyo nokuThunyela ngaphandle

UMBALI INKCAZO
Isimo seRoHS I-ROHS3 iyahambelana
iNqanaba lokuSensitivity lokufuma (MSL) 4 (72 iiyure)
FIKELELA kwiSimo FIKELELA Ngokungachaphazelekiyo
ECCN 3A001A7A
HTSUS 8542.39.0001

Izibonelelo ezongezelelweyo

UMBALI INKCAZO
Amanye Amagama XC7VX485T-1FFG1157I7004
Ipakethe esemgangathweni 1

Yintoni iFPGA?

I-Field Programmable Gate Arrays (FPGAs) zizixhobo ze-semiconductor ezisekwe malunga ne-matrix yeebhloko zengqiqo eziqwalaselweyo (CLBs) ezidityaniswe ngoqhagamshelo olucwangcisekileyo.Ii-FPGAs zinokuphinda zicwangciselwe kwisicelo esinqwenelekayo okanye iimfuno zokusebenza emva kokwenziwa.Olu phawu lwahlula ii-FPGAs kwi-Application Specific Integrated Circuits (ASICs), ezenzelwe ukwenziwa kwemisebenzi ethile yoyilo.Nangona i-FPGA yexesha elinye enokucwangciswa (OTP) iyafumaneka, ezona ntlobo zibalaseleyo zisekwe kwi-SRAM enokuphinda ihlelwe njengoko uyilo luguquka.-

Yintoni umahluko phakathi kwe-ASIC kunye neFPGA?

I-ASIC kunye neeFPGA zinezindululo zexabiso ezahlukeneyo, kwaye kufuneka zivavanywe ngononophelo phambi kokuba kukhethwe enye phezu kwenye.Ininzi inkcazelo ethelekisa obu bugcisa bumbini.Ngelixa ii-FPGAs beziqhele ukukhethelwa isantya esisezantsi/ubunzima/uyilo lwevolumu ngaphambili, iiFPGA zanamhlanje zityhala ngokulula umqobo wokusebenza we-500 MHz.Ngokunyuka okungazange kubonwe ngaphambili koxinaniso lwengqondo kunye nentaphane yezinye iimpawu, ezinje ngeeprosesa ezizinzisiweyo, iibhloko ze-DSP, iwashi, kunye nesantya esiphezulu seserial kumaxabiso asezantsi aphantsi, iiFPGAs zisisiphakamiso esinyanzelisayo phantse kulo naluphi na uhlobo loyilo.-

Izicelo zeFPGA

Ngenxa yendalo yazo enokucwangciswa, iiFPGAs zifanelekile kwiimarike ezininzi ezahlukeneyo.Njengenkokeli yeshishini, i-Xilinx ibonelela ngezisombululo ezibanzi ezibandakanya izixhobo zeFPGA, isoftware ephucukileyo, kunye nokulungelelaniswa, ii-IP ezilungele ukusetyenziswa kwiimarike kunye nezicelo ezinje:

I-Aerospace & Defense-Ii-FPGA ezinyamezelayo kwimitha kunye nepropathi yobukrelekrele yokusetyenzwa kwemifanekiso, ukuveliswa komaza, kunye nohlengahlengiso oluyinxenye lwee-SDRs.

I-ASIC Prototyping-I-ASIC prototyping eneFPGAs yenza ukuba inkqubo ye-SoC ikhawuleze kwaye ichaneke kunye nokuqinisekiswa kwesoftware efakwe ngaphakathi.

Iimoto-I-silicon yeemoto kunye nezisombululo ze-IP zesango kunye neenkqubo zokuncedisa umqhubi, induduzo, ilula, kunye ne-infotainment yemoto.-Funda ukuba iXilinx FPGA yenza njani iiNkqubo zeemoto

Usasazo kunye nePro AV-Lungelela kwiimfuno eziguqukayo ngokukhawuleza kunye nokwandisa imijikelo yobomi bemveliso kunye neePlatifomu zoYilo eziJoliswe kwiSasazo kunye nezisombululo zeenkqubo zokusasaza zobuchwephesha.

I-Electronics yabathengi- Izisombululo ezingabizi kakhulu zenza isizukulwana esilandelayo, izicelo zabathengi ezigcweleyo, njengee-handsets eziguquliweyo, iziboniso zephaneli yedijithali, izixhobo zolwazi, uthungelwano lwasekhaya, kunye neebhokisi eziphezulu zokuhlala.

Iziko leDatha-Yenzelwe i-bandwidth ephezulu, i-low-latency servers, inethiwekhi, kunye nezicelo zokugcina ukuzisa ixabiso eliphezulu kwi-deployments cloud.

Ukusebenza okuphezulu kweKhompyutha kunye nokugcinwa kwedatha-Izisombululo zeNethiwekhi eQinisekisiweyo yokuGcina (i-NAS), iNethiwekhi yendawo yokuGcina (i-SAN), iiseva, kunye nezixhobo zokugcina.

Imizi-mveliso-I-Xilinx FPGAs kunye neeplatifomu zoyilo ezijoliswe kuzo kwi-Industrial, Scientific and Medical (ISM) yenza amanqanaba aphezulu okuguquguquka, ixesha elikhawulezayo ukuya kwimarike, kunye neendleko eziphantsi zobunjineli ezingaphindi ziphindeke (NRE) kuluhlu olubanzi lwezicelo ezifana nokucinga kwezoshishino. kunye nokucupha, i-industrial automation, kunye nezixhobo zonyango zokucinga.

Ezonyango- Ukuxilongwa, ukubeka iliso, kunye nezicelo zonyango, i-Virtex FPGA kunye neentsapho ze-FPGA ze-Spartan® zingasetyenziselwa ukuhlangabezana noluhlu lokucubungula, umboniso, kunye neemfuno ze-interface ye-I / O.

Ukhuseleko - I-Xilinx inikeza izisombululo ezihlangabezana neemfuno eziguqukayo zezicelo zokhuseleko, ukusuka kulawulo lokufikelela kwiinkqubo zokucupha kunye nokhuseleko.

Ividiyo kunye noLungiselelo lweMifanekiso-I-Xilinx FPGAs kunye neeplatifomu zokuyila ezijoliswe kuzo zenza amanqanaba aphezulu okuguquguquka, ixesha elikhawulezayo ukuya kwimarike, kunye neendleko eziphantsi zobunjineli ezingaphindi ziphindeke (NRE) kuluhlu olubanzi lweevidiyo kunye nokusetyenziswa kwemifanekiso.

Unxibelelwano ngeeNcingo-Izisombululo zokuphela-ukuya-ekuphelweni kweReprogrammable Networking Linecard Packet Processing, Framer/MAC, serial backplanes, kunye nokunye

Unxibelelwano olungenazingcingo- I-RF, i-base band, i-connectivity, izithuthi kunye nezisombululo zenethiwekhi zezixhobo ezingenazintambo, ukujongana nemigangatho efana ne-WCDMA, i-HSDPA, i-WiMAX kunye nabanye.


  • Ngaphambili:
  • Okulandelayo:

  • Bhala umyalezo wakho apha kwaye uwuthumele kuthi