iodolo_bg

iimveliso

(Entsha kunye neyoqobo) Ikwisitokhwe 3S200A-4FTG256C IC Chip XC3S200A-4FTG256C

inkcazelo emfutshane:


Iinkcukacha zeMveliso

Iithegi zeMveliso

Iimpawu zeMveliso

UHLOBO INKCAZO

KHETHA

Udidi IiSekethe eziDityanisiweyo (ICs)

Ifakwe

FPGAs (Field Programmable Gate Array)

 

 

 

Mfr AMD Xilinx

 

Uthotho I-Spartan®-3A

 

Iphakheji Itreyi

 

Ubume beMveliso Iyasebenza

 

Inani le-LABs/CLBs 448

 

Inani leLogic Elements/Seli 4032

 

Iyonke iBits RAM 294912

 

Inani le-I/O 195

 

Inani leeGates 200000

 

Umbane – Ubonelelo 1.14V ~ 1.26V

 

Uhlobo lokuNqamisa INtaba engaphezulu

 

Ubushushu bokusebenza 0°C ~ 85°C (TJ)

 

Ipakethe / Ityala 256-LBGA

 

Supplier Device Package 256-FTBGA (17×17)

 

Inombolo yeMveliso esisiseko XC3S200  

 Field Programmable Gate Array

 AUluhlu lwesango olucwangcisekileyo(FPGA) yiisekethe edibeneyoyenzelwe ukuba iqwalaselwe ngumthengi okanye umyili emva kokwenziwa kwemveliso - kungoko igamaintsimi-iyacwangciswa.Uqwalaselo lweFPGA lukhankanywa gabalala kusetyenziswa iulwimi lwengcaciso yehardware(HDL), efana naleyo isetyenziselwa iisicelo-specific isekethe edibeneyo(ASIC).Imizobo yesekethebezisetyenziswa ngaphambili ukukhankanya uqwalaselo, kodwa oku kuya kunqabile ngenxa yokuvela kweUyilo lwe-elektroniki oluzenzekelayoizixhobo.

IiFPGA ziqulathe uluhlu lweiyacwangciseka iibhloko zengqiqo, kunye noluhlu lwemigangatho yoqhagamshelo oluphinda luqwalaselwe evumela iibhloko ukuba zidityaniswe kunye.Iibhloko zengqiqo zinokuqwalaselwa ukuba zenze izinto ezinzimaimisebenzi edibeneyo, okanye wenze njengento elulaamasango engqiqonjengayeKUNYEkwayeXOR.KwiiFPGA ezininzi, iibhloko zengqiqo zikwabandakanyaizinto zenkumbulo, enokuba lulaiiflopsiokanye iibhloko ezipheleleyo zememori.[1]IiFPGA ezininzi zinokuphinda zicwangciselwe ukuphumeza okwahlukileyoimisebenzi logic, ukuvumela ukuba bhetyebhetyeicomputing enokuphinda iqwalaselwenjengoko yenziwe kwiisoftware yekhompyuter.

Ii-FPGA zinendima ephawulekayoinkqubo elungisiweyouphuhliso ngenxa yesakhono sabo sokuqalisa uphuhliso lwesoftware yenkqubo ngaxeshanye kunye nehardware, vumela ukulinganisa ukusebenza kwenkqubo kwinqanaba lokuqala lophuhliso, kwaye uvumele izilingo zenkqubo ezahlukeneyo kunye noyilo oluphindaphindayo phambi kokugqibezela uyilo lwenkqubo.[2]

Imbali[hlela]

Ishishini leFPGA lihlume ukusukainkumbulo efundekayo ecwangcisiweyo(PROM) kunyeizixhobo ezicwangcisekileyo(ii-PLDs).Ii-PROM kunye nee-PLD zombini zinenketho yokucwangciswa kwiibhetshi kwifektri okanye kwintsimi (i-field-programmable).[3]

Alterayasekwa ngo-1983 kwaye yanikezela ngesixhobo sokuqala esinokuhlelwa kwakhona kwishishini ngo-1984 - i-EP300 - eyayibonisa ifestile yequartz kwiphakheji eyayivumela abasebenzisi ukuba bakhanyise isibane se-ultra-violet kwifa ukuze bacimeI-EPROMiiseli ezibambe ulungelelwaniso lwesixhobo.[4]

Xilinxivelise indawo yokuqala yorhwebo enokucwangciswauluhlu lwesangongowe-1985[3]– XC2064.[5]I-XC2064 yayinamasango acwangcisiweyo kunye nokudibanisa okucwangcisiweyo phakathi kwamasango, ukuqala kobuchwepheshe obutsha kunye nemarike.[6]I-XC2064 yayineebhloko ezingama-64 eziqwalaselweyo (CLBs), ezineegalelo ezimbini ezithathu.iitafile zokujonga(LUTs).[7]

Ngowe-1987, iIziko leMfazwe yoMphezulu woLwandleinike inkxaso-mali kuvavanyo olucetyiswe nguSteve Casselman lokuphuhlisa ikhompyutha eya kuphumeza i-600,000 yamasango alungelelanisiweyo.UCasselman waphumelela kwaye ipatent enxulumene nenkqubo yakhutshwa ngo-1992.[3]

I-Altera kunye ne-Xilinx ziqhubekile zingenamkhethe kwaye zakhula ngokukhawuleza ukusuka kwi-1985 ukuya phakathi koo-1990 xa abakhuphisana nabo bahluma, batshabalalisa inxalenye ebalulekileyo yesabelo sabo semarike.Ngo-1993, uActel (ngokuMicrosemi) ibisebenza malunga neepesenti ezili-18 zemarike.[6]

Iminyaka yoo-1990 yayilixesha lokukhula ngokukhawuleza kweeFPGAs, zombini kubunzulu besekethe kunye nomthamo wemveliso.Ekuqaleni koo-1990, iiFPGA zazisetyenziswa ikakhulu kwiuthungelwano ngomnxebakwayeuthungelwano.Ekupheleni kweminyaka elishumi, ii-FPGAs zafumana indlela yazo kubathengi, kwiimoto, nakwizicelo zemizi-mveliso.[8]

Ngo-2013, i-Altera (ipesenti ezingama-31), i-Actel (ipesenti ze-10) kunye ne-Xilinx (i-36 yeepesenti) kunye zimele malunga neepesenti ze-77 zemarike ye-FPGA.[9]

Iinkampani ezinje ngoMicrosoft ziqalisile ukusebenzisa iiFPGAs ukukhawulezisa ukusebenza okuphezulu, iinkqubo zekhompyutha (ezifana neamaziko dataezisebenza zaboInjini yokukhangela ye-Bing), ngenxa yeukusebenza ngewatt nganyeinzuzo yeFPGAs inikezela.[10]UMicrosoft waqala ukusebenzisa iiFPGAs ukukhawulezisaI-Bing ngo-2014, kwaye ngo-2018 yaqala ukuthumela ii-FPGAs kulo lonke olunye uxanduva lweziko ledatha yabo.Azure ukhomyutha yamafuiqonga.[11]

La maxesha alandelayo abonisa inkqubela kwimiba eyahlukeneyo yoyilo lweFPGA:

Amasango

  • Ngowe-1987: Amasango angama-9 000, iXilinx[6]
  • Ngowe-1992: abangama-600 000, iSebe leMfazwe yaseLwandle[3]
  • Ekuqaleni kweminyaka yoo-2000: izigidi[8]
  • 2013: 50 million, Xilinx[12]

Ubungakanani bemarike

Uyilo luyaqala

Aisiqalo soyiloluyilo olutsha olulungiselelwe ukuphunyezwa kwiFPGA.

Yila[hlela]

IiFPGA zangoku zinezibonelelo ezinkulu zeamasango engqiqokunye neebhloko ze-RAM ukuphumeza izibalo ezintsonkothileyo zedijithali.Njengoko uyilo lweFPGA lusebenzisa ireyithi ye-I/O ekhawulezayo kunye nedatha ye-bidirectionaliibhasi, kuba ngumceli mngeni ukuqinisekisa ixesha elichanekileyo ledatha esebenzayo ngexesha lokucwangcisa kunye nexesha lokubamba.

Ukucwangcisa umgangathoyenza ulwabiwo lwezibonelelo ngaphakathi kweFPGAs ukuhlangabezana nale miqobo yexesha.IiFPGAs zingasetyenziselwa ukuphumeza nawuphi na umsebenzi onengqondo onokuthi iI-ASICuyakwazi ukwenza.Ukukwazi ukuhlaziya ukusebenza emva kokuthunyelwa,uhlengahlengiso oluyinxenyeyenxenye yoyilo[17]kunye neendleko zobunjineli ezisezantsi ezingaphindi ziphindeke ngokumalunga noyilo lwe-ASIC (nangona ixabiso leyunithi liphezulu ngokubanzi), zibonelela ngeenzuzo kwizicelo ezininzi.[1]

Ezinye ii-FPGA zineempawu ze-analog ukongeza kwimisebenzi yedijithali.Olona phawu luqhelekileyo lwe-analog lunokucwangciswaizinga lokubulalakwisikhonkwane ngasinye sesiphumo, esivumela injineli ukuba ibeke amaxabiso aphantsi kwizikhonkwane ezilayishwe kancinci ezinokuthi ngenye indlelaumsesaneokanyeisibiningokungamkelekiyo, kunye nokubeka amazinga aphezulu kwizikhonkwane ezilayishwe kakhulu kwiitshaneli ezinesantya esiphezulu ebenokuthi ngenye indlela zihambe ngokucotha kakhulu.[18][19]Kwakhona zixhaphakile iquartz-iioscillators zekristale, kwi-chip resistance-capacitance oscillators, kunyeiilophu ezitshixiwe ngesigabange ezinzisiweyoii-oscillators ezilawulwa ngamandla ombaneisetyenziselwa ukuvelisa iwotshi kunye nolawulo kunye nesantya esiphezulu se-serializer-deserializer (SERDES) yokuhambisa iiwotshi kunye nokubuyisela iwotshi yomamkeli.Ziqhelekile ngokufanelekileyo ziyahlukaabathelekisikwizikhonkwane zongeniso eziyilelwe ukudityaniswaumqondiso umahlukoimijelo.Ezimbalwa "uphawu oluxubileyoIi-FPGA” zine-peripheral edibeneyoabaguquli be-analog ukuya kwidijithali(ADCs) kunyeabaguquli bedijithali ukuya kwi-analog(DACs) kunye neebhloko zesignali ye-analog ezivumela ukuba zisebenze njengeinkqubo-kwi-a-chip(SoC).[20]Ezo zixhobo zenza mfiliba umgca phakathi kweFPGA, ethwala ezedijithali kunye noziro kwilaphu layo langaphakathi elinonxibelelwano olunokucwangciswa, kunyeUluhlu lwe-analog enokucwangciswa entsimini(FPAA), ethwala amaxabiso e-analog kwilaphu layo langaphakathi elinokucwangciswa loqhagamshelo.

Iibhloko zengqiqo[hlela]

Inqaku eliphambili:Ibhloko enengqondo

2

Umzekeliso owenziwe lula weseli enengqondo (LUT -Khangela itafile, FA -I-adder epheleleyo, DFF -Uhlobo lwe-D-flip-flop)

Eyona ixhaphakileyo yeFPGA uyilo iqulathe uluhlu lweiibhloko zengqiqo(ebizwa ngokuba ziibhloko zengqiqo eziqwalaselweyo, ii-CLBs, okanye iibhloko zoluhlu lwengqiqo, ii-LABs, ngokuxhomekeke kumthengisi),I/O pads, kunye nemijelo yomzila.[1]Ngokuqhelekileyo, zonke iziteshi zomzila zinobubanzi obufanayo (inani leengcingo).Iipads ezininzi ze-I/O zinokungena kumphakamo womqolo omnye okanye kububanzi bekholamu enye kuluhlu.

“Isekethe yesicelo mayifakwe kwimephu yeFPGA enezixhobo ezaneleyo.Ngelixa inani le-CLBs/LABs kunye nee-I/Os ezifunekayo zimiselwa ngokulula kuyilo, inani leendlela zomzila ezifunekayo zinokwahluka kakhulu naphakathi koyilo olunomlinganiselo ofanayo wengqiqo.(Umzekelo, aiswitshi ye-crossbarifuna indlela engaphezulu kune auluhlu lwe-systolicnokubalwa kwesango elifanayo.Ukusukela ukuba iingoma ezingasetyenziswanga zinyusa iindleko (kwaye zinciphisa ukusebenza) kwecandelo ngaphandle kokubonelela nayiphi na inzuzo, abavelisi beFPGA bazama ukubonelela ngeengoma ezaneleyo ukuze uninzi loyilo oluya kungena ngokwemiqathangoiitafile zokujonga(LUTs) kunye ne-I / Os ingabaiqhutyiwe.Oku kumiselwa luqikelelo olufana nolo luthatyathwe kuloUmthetho werentiokanye ngokulingwa ngezinto esele zikho.”[21]Ukusukela ngo-2018,inethiwekhi-kwi-chipulwakhiwo lwendlela kunye noqhagamshelo luyaphuhliswa.[ucaphulo olufunekayo]

Ngokubanzi, ibhloko ye-logic iqukethe iiseli ezimbalwa ezinengqiqo (ezibizwa ngokuba yi-ALM, LE, isilayi njl.).Iseli eqhelekileyo ine-4-input LUT, ai-adder epheleleyo(FA) kunye aUhlobo lwe-D-flip-flop.Ezi zinokwahlulwa zibe zi-LUT ezimbini ze-3-input.Kwiindlela eqhelekileyoezo zidityanisiwe zibe yi-4-input LUT ngeyokuqalai-multiplexer(mux).Kwiizibaloindlela, iziphumo zabo zondliwa kwi-adder.Ukhetho lwendlela lucwangciswe kwi-mux yesibini.Isiphumo sinokuba nokubai-synchronousokanye-asynchronous, kuxhomekeke kucwangciso lwesithathu mux.Enyanisweni, yonke okanye iinxalenye ze-adder ziigcinwe njengemisebenzikwii-LUTs ukuze ugcineindawo.[22][23][24]

Iibhloko ezinzima[hlela]

Iintsapho zanamhlanje zeFPGA zanda phezu kwesakhono esingasentla ukubandakanya ukusebenza kwenqanaba eliphezulu elimiswe kwisilicon.Ukuba le misebenzi eqhelekileyo efakwe kwisekethe inciphisa indawo efunekayo kwaye inika loo misebenzi isantya esongeziweyo xa kuthelekiswa nokwakhiwa kwayo ukusuka kwiiprimitives ezinengqiqo.Imizekelo yezi ibandakanyaiziphindaphindi, igenericIibhloko ze-DSP,iiprosesa ezizinzisiweyo, isantya esiphezulu se-I/O logic kwaye ifakweiinkumbulo.

Ii-FPGA zesiphelo esiphezulu zinokuqulatha isantya esiphezuluii-transceivers ezininzi zegigabitkwayeiicore IP ezinzimanjengeiicores zeprosesa,I-Ethernet iiyunithi zolawulo lofikelelo oluphakathi,PCI/PCI Expressabalawuli, kunye nabalawuli beememori zangaphandle.Ezi core zikhona ecaleni kwelaphu elicwangcisiweyo, kodwa zakhiwe ngaphandleiitransistorendaweni ye-LUTs ukuze babe ne-ASIC-levelukusebenzakwayeUkutya umbanengaphandle kokutya isixa esibalulekileyo semithombo yelaphu, ukushiya ngaphezulu kwelaphu simahla kwingqiqo ethe ngqo yesicelo.Ii-transceivers ze-multi-gigabit nazo ziqulethe igalelo eliphezulu le-analog yokusebenza kunye nokuphuma kweesekethe kunye ne-speed serializers kunye ne-deserializers, amacandelo angenakwakhiwa ngaphandle kwe-LUT.Inqanaba eliphezulu lomzimba (PHY) ukusebenza okunjeikhowudi yomgcainokuphunyezwa okanye ingaphunyezwa ecaleni kweesiriyeli kunye nezisusa kwi-logic enzima, ngokuxhomekeke kwiFPGA.

 

 


  • Ngaphambili:
  • Okulandelayo:

  • Bhala umyalezo wakho apha kwaye uwuthumele kuthi