iodolo_bg

iimveliso

XCF128XFTG64C Encapsulation BGA64 XL uqwalaselo olunoxinano oluphezulu kunye nezixhobo zokugcina

inkcazelo emfutshane:


Iinkcukacha zeMveliso

Iithegi zeMveliso

Iimpawu zeMveliso

UHLOBO INKCAZO
Udidi IiSekethe eziDityanisiweyo (ICs)

Inkumbulo

IiProm zoqwalaselo zeeFPGAs

Mfr AMD Xilinx
Uthotho -
Iphakheji Itreyi
Ubume beMveliso Iphelelwe lixesha
Uhlobo olunocwangciso KwiNkqubo yokuCwangciswa
Ubungakanani beMemori 128Mb
Umbane – Ubonelelo 1.7V ~ 2V
Ubushushu bokusebenza -40°C ~ 85°C
Uhlobo lokuNqamisa INtaba engaphezulu
Ipakethe / Ityala 64-TBGA
Supplier Device Package 64-FTBGA (10×13)
Inombolo yeMveliso esisiseko XCF128

Amaxwebhu & nemidiya

UHLOBO LWEZIBONELELO LINK
Amaxwebhu edatha Idatha ye-XCF128XFT(G)64C
Ulwazi lokusingqongileyo Isiqinisekiso se-Xiliinx RoHS

I-Xilinx REACH211 Cert

PCN Ukuphelelwa lixesha/ EOL Izixhobo ezininzi 01/Juni/2015

Isixhobo seMult EOL Rev3 9/May/2016

Ukuphela koBomi 10/JAN/2022

Inxalenye yePCN yoTshintsho lwesimo Amacandelo Avuselelwe 25/Apr/2016
HTML Datasheet Idatha ye-XCF128XFT(G)64C

Ulwahlulo lokusiNgqongileyo nokuThunyela ngaphandle

UMBALI INKCAZO
Isimo seRoHS I-ROHS3 iyahambelana
iNqanaba lokuSensitivity lokufuma (MSL) 3 (168 iiyure)
FIKELELA kwiSimo FIKELELA Ngokungachaphazelekiyo
ECCN 3A991B1A
HTSUS 8542.32.0071

I-Xilinx yazisa uluhlu lwe-XC18V00 lwe-PROM yoqwalaselo olucwangcisiweyo lwe-in-system (Umfanekiso 1).Izixhobo kule ntsapho ye-3.3V ziquka i-4-megabit, i-2-megabit, i-1-megabit, kunye ne-512-kilobit ye-PROM enika indlela elula yokuyisebenzisa, enexabiso eliphantsi lokucwangcisa kwakhona kunye nokugcina i-Xilinx FPGA yoqwalaselo bitstreams.

Xa iFPGA ikwimowudi yoSiri eyiMaster, yenza ikloko yoqwalaselo eqhuba iPROM.Ixesha elifutshane lokufikelela emva kokuba i-CE kunye ne-OE inikwe amandla, idatha ifumaneka kwi-PROM DATA (D0) iphini edibaniswe ne-FPGA DIN pin.Idatha entsha iyafumaneka ngexesha elifutshane lokufikelela emva kwewotshi nganye ekhuphukayo.I-FPGA yenza inani elifanelekileyo leentsimbi zewotshi ukugqibezela uqwalaselo.Xa i-FPGA ikwimowudi ye-Slave Serial, i-PROM kunye ne-FPGA zivaliwe yiwotshi yangaphandle.

Xa iFPGA ikwiMowudi yokuKhetha MAP, iFPGA yenza ikloko yoqwalaselo eqhuba iPROM.Xa i-FPGA ikwimo ye-Slave Parallel okanye i-Slave Khetha imo ye-MAP, i-oscillator yangaphandle yenza ikloko yoqwalaselo eqhuba i-PROM kunye neFPGA.Emva kokuba i-CE kunye ne-OE inikwe amandla, idatha ifumaneka kwiiphini ze-PROM ze-DATA (D0-D7).Idatha entsha iyafumaneka ngexesha elifutshane lokufikelela emva kwewotshi nganye ekhuphukayo.Idatha ivalwe kwi-FPGA kwinqanaba elilandelayo lokunyuka kwe-CCLK.I-oscillator esebenza simahla ingasetyenziswa kwiindlela ze-Slave Parallel okanye kwi-Slave Select MAP.

Izixhobo ezininzi zinokuchithwa ngokusebenzisa imveliso ye-CEO ukuqhuba igalelo le-CE kwesi sixhobo silandelayo.Ukufakwa kwewotshi kunye neziphumo ze-DATA zazo zonke ii-PROM ezikolu nxibelelwano ziqhagamshelene.Zonke izixhobo ziyahambelana kwaye ziyakwazi ukuchithwa kunye namanye amalungu entsapho okanye kunye ne-XC17V00 yexesha elilodwa elicwangcisiweyo le-serial ye-PROM yosapho.

 


  • Ngaphambili:
  • Okulandelayo:

  • Bhala umyalezo wakho apha kwaye uwuthumele kuthi